单项选择题Verilog连线类型的驱动强度说明被省略时,则默认的输出驱动强度为()

A.supply
B.strong
C.pull
D.weak


您可能感兴趣的试卷

你可能感兴趣的试题

1.单项选择题下列哪些Verilog的基本门级元件是多输出()

A.nand
B.nor
C.and
D.not

2.单项选择题在verilog中,下列语句哪个不是分支语句?()

A.if-else
B.case
C.casez
D.repeat

3.单项选择题下面哪个是可以用verilog语言进行描述,而不能用VHDL语言进行描述的级别?()

A.开关级
B.门电路级
C.体系结构级
D.寄存器传输级