单项选择题在VHDL中,含WAIT语句的进程PROCESS的括弧中()再加敏感信号,否则则是非法的。

A.可以
B.不能
C.必须
D.有时可以


您可能感兴趣的试卷

你可能感兴趣的试题

3.单项选择题在VHDL中,PROCESS本身是()语句。

A.顺序
B.顺序和并行
C.并行
D.任何

4.单项选择题在VHDL中,PROCESS结构内部是由()语句组成的。

A.顺序
B.顺序和并行
C.并行
D.任何

7.单项选择题在VHDL中,可以用语句()表示检测clock下降沿。

A.clock’event
B.clock’eventandclock=’1’
C.clock=’0’
D.clock’eventandclock=’0’

8.单项选择题VHDL中,为目标变量赋值符号是()。

A.=:
B.=
C.<=
D.:=

9.单项选择题下列关于CASE语句的说法不正确的是()。

A.条件句中的选择值或标识符所代表的值必须在表达式的取值范围内。
B.CASE语句中必须要有WHENOTHERS=>NULL语句。
C.CASE语句中的选择值只能出现一次,且不允许有相同的选择值的条件语句出现。
D.CASE语句执行必须选中,且只能选中所列条件语句中的一条

10.单项选择题下列关于变量的说法正确的是()。

A.变量是一个局部量,它只能在进程和子程序中使用
B.变量的赋值不是立即发生的,它需要有一个δ延时
C.在进程的敏感信号表中,既可以使用信号,也可以使用变量
D.变量赋值的一般表达式为:目标变量名<=表达式