问答题组合逻辑电路设计:设ABC为某保密锁的三个按键,当A单独闭合时,既不开锁也不报警;当A、B、C或A、B或A、C分别同时闭合时,锁被打开;其它有键闭合的情况将发出报警信号。试用与非门设计该保密锁的逻辑电路。

您可能感兴趣的试卷

你可能感兴趣的试题