问答题

设计一个巴克码信号发生器,要求自动产生周期性的1110010的信号序列,要求用D触发器和逻辑门来实现。


您可能感兴趣的试卷